Alert button
Picture for Zhiqiang Que

Zhiqiang Que

Alert button

Sets are all you need: Ultrafast jet classification on FPGAs for HL-LHC

Add code
Bookmark button
Alert button
Feb 02, 2024
Patrick Odagiu, Zhiqiang Que, Javier Duarte, Johannes Haller, Gregor Kasieczka, Artur Lobanov, Vladimir Loncar, Wayne Luk, Jennifer Ngadiuba, Maurizio Pierini, Philipp Rincke, Arpita Seksaria, Sioni Summers, Andre Sznajder, Alexander Tapper, Thea K. Aarrestad

Viaarxiv icon

When Monte-Carlo Dropout Meets Multi-Exit: Optimizing Bayesian Neural Networks on FPGA

Add code
Bookmark button
Alert button
Aug 13, 2023
Hongxiang Fan, Hao Chen, Liam Castelli, Zhiqiang Que, He Li, Kenneth Long, Wayne Luk

Figure 1 for When Monte-Carlo Dropout Meets Multi-Exit: Optimizing Bayesian Neural Networks on FPGA
Figure 2 for When Monte-Carlo Dropout Meets Multi-Exit: Optimizing Bayesian Neural Networks on FPGA
Figure 3 for When Monte-Carlo Dropout Meets Multi-Exit: Optimizing Bayesian Neural Networks on FPGA
Figure 4 for When Monte-Carlo Dropout Meets Multi-Exit: Optimizing Bayesian Neural Networks on FPGA
Viaarxiv icon

MetaML: Automating Customizable Cross-Stage Design-Flow for Deep Learning Acceleration

Add code
Bookmark button
Alert button
Jun 14, 2023
Zhiqiang Que, Shuo Liu, Markus Rognlien, Ce Guo, Jose G. F. Coutinho, Wayne Luk

Figure 1 for MetaML: Automating Customizable Cross-Stage Design-Flow for Deep Learning Acceleration
Figure 2 for MetaML: Automating Customizable Cross-Stage Design-Flow for Deep Learning Acceleration
Figure 3 for MetaML: Automating Customizable Cross-Stage Design-Flow for Deep Learning Acceleration
Figure 4 for MetaML: Automating Customizable Cross-Stage Design-Flow for Deep Learning Acceleration
Viaarxiv icon

LL-GNN: Low Latency Graph Neural Networks on FPGAs for Particle Detectors

Add code
Bookmark button
Alert button
Oct 11, 2022
Zhiqiang Que, Hongxiang Fan, Marcus Loo, Michaela Blott, Maurizio Pierini, Alexander D Tapper, Wayne Luk

Figure 1 for LL-GNN: Low Latency Graph Neural Networks on FPGAs for Particle Detectors
Figure 2 for LL-GNN: Low Latency Graph Neural Networks on FPGAs for Particle Detectors
Figure 3 for LL-GNN: Low Latency Graph Neural Networks on FPGAs for Particle Detectors
Figure 4 for LL-GNN: Low Latency Graph Neural Networks on FPGAs for Particle Detectors
Viaarxiv icon

Algorithm and Hardware Co-design for Reconfigurable CNN Accelerator

Add code
Bookmark button
Alert button
Nov 24, 2021
Hongxiang Fan, Martin Ferianc, Zhiqiang Que, He Li, Shuanglong Liu, Xinyu Niu, Wayne Luk

Figure 1 for Algorithm and Hardware Co-design for Reconfigurable CNN Accelerator
Figure 2 for Algorithm and Hardware Co-design for Reconfigurable CNN Accelerator
Figure 3 for Algorithm and Hardware Co-design for Reconfigurable CNN Accelerator
Figure 4 for Algorithm and Hardware Co-design for Reconfigurable CNN Accelerator
Viaarxiv icon

Applications and Techniques for Fast Machine Learning in Science

Add code
Bookmark button
Alert button
Oct 25, 2021
Allison McCarn Deiana, Nhan Tran, Joshua Agar, Michaela Blott, Giuseppe Di Guglielmo, Javier Duarte, Philip Harris, Scott Hauck, Mia Liu, Mark S. Neubauer, Jennifer Ngadiuba, Seda Ogrenci-Memik, Maurizio Pierini, Thea Aarrestad, Steffen Bahr, Jurgen Becker, Anne-Sophie Berthold, Richard J. Bonventre, Tomas E. Muller Bravo, Markus Diefenthaler, Zhen Dong, Nick Fritzsche, Amir Gholami, Ekaterina Govorkova, Kyle J Hazelwood, Christian Herwig, Babar Khan, Sehoon Kim, Thomas Klijnsma, Yaling Liu, Kin Ho Lo, Tri Nguyen, Gianantonio Pezzullo, Seyedramin Rasoulinezhad, Ryan A. Rivera, Kate Scholberg, Justin Selig, Sougata Sen, Dmitri Strukov, William Tang, Savannah Thais, Kai Lukas Unger, Ricardo Vilalta, Belinavon Krosigk, Thomas K. Warburton, Maria Acosta Flechas, Anthony Aportela, Thomas Calvet, Leonardo Cristella, Daniel Diaz, Caterina Doglioni, Maria Domenica Galati, Elham E Khoda, Farah Fahim, Davide Giri, Benjamin Hawks, Duc Hoang, Burt Holzman, Shih-Chieh Hsu, Sergo Jindariani, Iris Johnson, Raghav Kansal, Ryan Kastner, Erik Katsavounidis, Jeffrey Krupa, Pan Li, Sandeep Madireddy, Ethan Marx, Patrick McCormack, Andres Meza, Jovan Mitrevski, Mohammed Attia Mohammed, Farouk Mokhtar, Eric Moreno, Srishti Nagu, Rohin Narayan, Noah Palladino, Zhiqiang Que, Sang Eon Park, Subramanian Ramamoorthy, Dylan Rankin, Simon Rothman, Ashish Sharma, Sioni Summers, Pietro Vischia, Jean-Roch Vlimant, Olivia Weng

Figure 1 for Applications and Techniques for Fast Machine Learning in Science
Figure 2 for Applications and Techniques for Fast Machine Learning in Science
Figure 3 for Applications and Techniques for Fast Machine Learning in Science
Figure 4 for Applications and Techniques for Fast Machine Learning in Science
Viaarxiv icon

Accelerating Recurrent Neural Networks for Gravitational Wave Experiments

Add code
Bookmark button
Alert button
Jun 26, 2021
Zhiqiang Que, Erwei Wang, Umar Marikar, Eric Moreno, Jennifer Ngadiuba, Hamza Javed, Bartłomiej Borzyszkowski, Thea Aarrestad, Vladimir Loncar, Sioni Summers, Maurizio Pierini, Peter Y Cheung, Wayne Luk

Figure 1 for Accelerating Recurrent Neural Networks for Gravitational Wave Experiments
Figure 2 for Accelerating Recurrent Neural Networks for Gravitational Wave Experiments
Figure 3 for Accelerating Recurrent Neural Networks for Gravitational Wave Experiments
Figure 4 for Accelerating Recurrent Neural Networks for Gravitational Wave Experiments
Viaarxiv icon

High-Performance FPGA-based Accelerator for Bayesian Recurrent Neural Networks

Add code
Bookmark button
Alert button
Jun 04, 2021
Martin Ferianc, Zhiqiang Que, Hongxiang Fan, Wayne Luk, Miguel Rodrigues

Figure 1 for High-Performance FPGA-based Accelerator for Bayesian Recurrent Neural Networks
Figure 2 for High-Performance FPGA-based Accelerator for Bayesian Recurrent Neural Networks
Figure 3 for High-Performance FPGA-based Accelerator for Bayesian Recurrent Neural Networks
Figure 4 for High-Performance FPGA-based Accelerator for Bayesian Recurrent Neural Networks
Viaarxiv icon