Alert button
Picture for Stephen W. Keckler

Stephen W. Keckler

Alert button

Abstracting Sparse DNN Acceleration via Structured Sparse Tensor Decomposition

Add code
Bookmark button
Alert button
Mar 12, 2024
Geonhwa Jeong, Po-An Tsai, Abhimanyu R. Bambhaniya, Stephen W. Keckler, Tushar Krishna

Figure 1 for Abstracting Sparse DNN Acceleration via Structured Sparse Tensor Decomposition
Figure 2 for Abstracting Sparse DNN Acceleration via Structured Sparse Tensor Decomposition
Figure 3 for Abstracting Sparse DNN Acceleration via Structured Sparse Tensor Decomposition
Figure 4 for Abstracting Sparse DNN Acceleration via Structured Sparse Tensor Decomposition
Viaarxiv icon

VaPr: Variable-Precision Tensors to Accelerate Robot Motion Planning

Add code
Bookmark button
Alert button
Oct 11, 2023
Yu-Shun Hsiao, Siva Kumar Sastry Hari, Balakumar Sundaralingam, Jason Yik, Thierry Tambe, Charbel Sakr, Stephen W. Keckler, Vijay Janapa Reddi

Figure 1 for VaPr: Variable-Precision Tensors to Accelerate Robot Motion Planning
Figure 2 for VaPr: Variable-Precision Tensors to Accelerate Robot Motion Planning
Figure 3 for VaPr: Variable-Precision Tensors to Accelerate Robot Motion Planning
Figure 4 for VaPr: Variable-Precision Tensors to Accelerate Robot Motion Planning
Viaarxiv icon

Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications

Add code
Bookmark button
Alert button
Dec 06, 2022
Kavya Sreedhar, Jason Clemons, Rangharajan Venkatesan, Stephen W. Keckler, Mark Horowitz

Figure 1 for Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications
Figure 2 for Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications
Figure 3 for Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications
Figure 4 for Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications
Viaarxiv icon

Zhuyi: Perception Processing Rate Estimation for Safety in Autonomous Vehicles

Add code
Bookmark button
Alert button
May 06, 2022
Yu-Shun Hsiao, Siva Kumar Sastry Hari, Michał Filipiuk, Timothy Tsai, Michael B. Sullivan, Vijay Janapa Reddi, Vasu Singh, Stephen W. Keckler

Figure 1 for Zhuyi: Perception Processing Rate Estimation for Safety in Autonomous Vehicles
Figure 2 for Zhuyi: Perception Processing Rate Estimation for Safety in Autonomous Vehicles
Figure 3 for Zhuyi: Perception Processing Rate Estimation for Safety in Autonomous Vehicles
Figure 4 for Zhuyi: Perception Processing Rate Estimation for Safety in Autonomous Vehicles
Viaarxiv icon

GPU Domain Specialization via Composable On-Package Architecture

Add code
Bookmark button
Alert button
Apr 05, 2021
Yaosheng Fu, Evgeny Bolotin, Niladrish Chatterjee, David Nellans, Stephen W. Keckler

Figure 1 for GPU Domain Specialization via Composable On-Package Architecture
Figure 2 for GPU Domain Specialization via Composable On-Package Architecture
Figure 3 for GPU Domain Specialization via Composable On-Package Architecture
Figure 4 for GPU Domain Specialization via Composable On-Package Architecture
Viaarxiv icon

Generating and Characterizing Scenarios for Safety Testing of Autonomous Vehicles

Add code
Bookmark button
Alert button
Mar 12, 2021
Zahra Ghodsi, Siva Kumar Sastry Hari, Iuri Frosio, Timothy Tsai, Alejandro Troccoli, Stephen W. Keckler, Siddharth Garg, Anima Anandkumar

Figure 1 for Generating and Characterizing Scenarios for Safety Testing of Autonomous Vehicles
Figure 2 for Generating and Characterizing Scenarios for Safety Testing of Autonomous Vehicles
Viaarxiv icon

Making Convolutions Resilient via Algorithm-Based Error Detection Techniques

Add code
Bookmark button
Alert button
Jun 08, 2020
Siva Kumar Sastry Hari, Michael B. Sullivan, Timothy Tsai, Stephen W. Keckler

Figure 1 for Making Convolutions Resilient via Algorithm-Based Error Detection Techniques
Figure 2 for Making Convolutions Resilient via Algorithm-Based Error Detection Techniques
Figure 3 for Making Convolutions Resilient via Algorithm-Based Error Detection Techniques
Figure 4 for Making Convolutions Resilient via Algorithm-Based Error Detection Techniques
Viaarxiv icon

HarDNN: Feature Map Vulnerability Evaluation in CNNs

Add code
Bookmark button
Alert button
Feb 25, 2020
Abdulrahman Mahmoud, Siva Kumar Sastry Hari, Christopher W. Fletcher, Sarita V. Adve, Charbel Sakr, Naresh Shanbhag, Pavlo Molchanov, Michael B. Sullivan, Timothy Tsai, Stephen W. Keckler

Figure 1 for HarDNN: Feature Map Vulnerability Evaluation in CNNs
Figure 2 for HarDNN: Feature Map Vulnerability Evaluation in CNNs
Figure 3 for HarDNN: Feature Map Vulnerability Evaluation in CNNs
Figure 4 for HarDNN: Feature Map Vulnerability Evaluation in CNNs
Viaarxiv icon

ML-based Fault Injection for Autonomous Vehicles: A Case for Bayesian Fault Injection

Add code
Bookmark button
Alert button
Jul 01, 2019
Saurabh Jha, Subho S. Banerjee, Timothy Tsai, Siva K. S. Hari, Michael B. Sullivan, Zbigniew T. Kalbarczyk, Stephen W. Keckler, Ravishankar K. Iyer

Figure 1 for ML-based Fault Injection for Autonomous Vehicles: A Case for Bayesian Fault Injection
Figure 2 for ML-based Fault Injection for Autonomous Vehicles: A Case for Bayesian Fault Injection
Figure 3 for ML-based Fault Injection for Autonomous Vehicles: A Case for Bayesian Fault Injection
Figure 4 for ML-based Fault Injection for Autonomous Vehicles: A Case for Bayesian Fault Injection
Viaarxiv icon