Alert button
Picture for Behzad Salami

Behzad Salami

Alert button

NEON: Enabling Efficient Support for Nonlinear Operations in Resistive RAM-based Neural Network Accelerators

Add code
Bookmark button
Alert button
Nov 10, 2022
Aditya Manglik, Minesh Patel, Haiyu Mao, Behzad Salami, Jisung Park, Lois Orosa, Onur Mutlu

Figure 1 for NEON: Enabling Efficient Support for Nonlinear Operations in Resistive RAM-based Neural Network Accelerators
Figure 2 for NEON: Enabling Efficient Support for Nonlinear Operations in Resistive RAM-based Neural Network Accelerators
Figure 3 for NEON: Enabling Efficient Support for Nonlinear Operations in Resistive RAM-based Neural Network Accelerators
Figure 4 for NEON: Enabling Efficient Support for Nonlinear Operations in Resistive RAM-based Neural Network Accelerators
Viaarxiv icon

An Experimental Study of Reduced-Voltage Operation in Modern FPGAs for Neural Network Acceleration

Add code
Bookmark button
Alert button
May 04, 2020
Behzad Salami, Erhan Baturay Onural, Ismail Emir Yuksel, Fahrettin Koc, Oguz Ergin, Adrian Cristal Kestelman, Osman S. Unsal, Hamid Sarbazi-Azad, Onur Mutlu

Figure 1 for An Experimental Study of Reduced-Voltage Operation in Modern FPGAs for Neural Network Acceleration
Figure 2 for An Experimental Study of Reduced-Voltage Operation in Modern FPGAs for Neural Network Acceleration
Figure 3 for An Experimental Study of Reduced-Voltage Operation in Modern FPGAs for Neural Network Acceleration
Figure 4 for An Experimental Study of Reduced-Voltage Operation in Modern FPGAs for Neural Network Acceleration
Viaarxiv icon

On the Resilience of Deep Learning for Reduced-voltage FPGAs

Add code
Bookmark button
Alert button
Dec 26, 2019
Kamyar Givaki, Behzad Salami, Reza Hojabr, S. M. Reza Tayaranian, Ahmad Khonsari, Dara Rahmati, Saeid Gorgin, Adrian Cristal, Osman S. Unsal

Figure 1 for On the Resilience of Deep Learning for Reduced-voltage FPGAs
Figure 2 for On the Resilience of Deep Learning for Reduced-voltage FPGAs
Figure 3 for On the Resilience of Deep Learning for Reduced-voltage FPGAs
Figure 4 for On the Resilience of Deep Learning for Reduced-voltage FPGAs
Viaarxiv icon

Evaluating Built-in ECC of FPGA on-chip Memories for the Mitigation of Undervolting Faults

Add code
Bookmark button
Alert button
Mar 29, 2019
Behzad Salami, Osman S. Unsal, Adrian Cristal Kestelman

Figure 1 for Evaluating Built-in ECC of FPGA on-chip Memories for the Mitigation of Undervolting Faults
Figure 2 for Evaluating Built-in ECC of FPGA on-chip Memories for the Mitigation of Undervolting Faults
Viaarxiv icon

On the Resilience of RTL NN Accelerators: Fault Characterization and Mitigation

Add code
Bookmark button
Alert button
Jun 14, 2018
Behzad Salami, Osman Unsal, Adrian Cristal

Figure 1 for On the Resilience of RTL NN Accelerators: Fault Characterization and Mitigation
Figure 2 for On the Resilience of RTL NN Accelerators: Fault Characterization and Mitigation
Figure 3 for On the Resilience of RTL NN Accelerators: Fault Characterization and Mitigation
Figure 4 for On the Resilience of RTL NN Accelerators: Fault Characterization and Mitigation
Viaarxiv icon